PENGIMPLEMENTASIAN TEKNOLOGI PROGRAMMABLE LOGIC DEVICE (PLD) SEBAGAI BINER CODE DECIMAL (BCD) UNTUK SCANNING KEYPAD

  • Muhammad Irmansyah Politeknik Negeri Padang
Keywords: Programmable Logic Device (PLD), IC PAL 22V10, Biner Code Decimal (BCD), Keypad

Abstract

Industrial of electronics developed in many fields in the middle of 1990s. Base on this situation, the manufacturer produce the product by increased the function, display, low cost, low power consumption and small size. This kind of product must be supported by complex system, small number of integrated circuit and tiny printed circuit board (PCB). Many integrated technologies such as submicron semiconductor, PCB technology, and the using of PCB surface maximal. The market situation  push the producer used modern technology in design and testing for example  Programmable Logic Device (PLD). It is the integrated circuit using digital logic which can be changed this function by programming and can be used to industrial application. Programmable Logic Device (PLD) technology can be used to many logical programming by using only one IC. The application of this technology can be found in IC 22V10 with 24 pins. This IC can be applied to replace the function of IC 74299 as encoder decimal to biner to scanning keypad.

Downloads

Download data is not yet available.

References

Irmansyah,M, 2008 Programmable Logic Device (PLD) Trainer, Penelitian DIPA Politeknik Negeri Padang
Irmansyah,M, 2010 Rancang Bangun Sistim Digital Sebagai Kunci Password Dengan Mengintegrasikan Teknologi Programmable Logic Device (PLD) dan Teknologi Mikrokontroller MCS-51, Penelitian DIPA Politeknik Negeri Padang
Irmansyah,M,2009,”Gerbang Logika Berbasis Programable Logic Device (PLD)”,Jurnal, Politeknik Negeri Padang
Irmansyah,M,2009,”Multiplexer Berbasis Programable Logic Device (PLD), Jurnal, Politeknik Negeri Padang
Irmansyah,M,2010,”Dekoder Biner ke Desimal Berbasis Programable Logic Device (PLD), Jurnal, Politeknik Negeri Padang
Kevin Skahill, 1997, “VHDL for Programable,Addison Wesley
Neil H.E Weste,2005,”CMOS VLSI Design”, Addison Wesley
Nigel P,Cook,2004,”Practical Digital Electronics”,Prentice Hall
Setiawan,Rahmat,2009,”Panduan Paktikum PLD”,Surabaya
Stephen Brown,2000,Digital Logic Of Fundamentals With VHDL Design”,Mcgraw-Hill
Published
2018-08-22
How to Cite
Irmansyah, M. (2018, August 22). PENGIMPLEMENTASIAN TEKNOLOGI PROGRAMMABLE LOGIC DEVICE (PLD) SEBAGAI BINER CODE DECIMAL (BCD) UNTUK SCANNING KEYPAD. Elektron : Jurnal Ilmiah, 5(1), 9-18. https://doi.org/https://doi.org/10.30630/eji.5.1.38